Het is altijd een goed idee om een probleem op te splitsen in kleinere probleempjes. De aanpak die in de vorige sectie uitgelegd is, kan opgedeeld worden in 3 fasen. Deze 3 fases zijn eenvoudiger om te vertalen naar hardware.
Met deze analyse zou je in staat moeten zijn om een hardware multiplier te maken. De entity van de multiplier is hieronder weergegeven.
entity multiplier is
generic (
G_WIDTH : natural := 4
);
port(
A : in STD_LOGIC_VECTOR(G_WIDTH-1 downto 0);
B : in STD_LOGIC_VECTOR(G_WIDTH-1 downto 0);
Z : out STD_LOGIC_VECTOR(2*G_WIDTH-1 downto 0)
);
end entity multiplier;